Create() Vs new()

The recommended method in UVM for creating components or transaction objects is to use the built-in method::type_id::create() instead of calling the constructor new() directly. The create method internally makes a call to the factory to look up the requested type and then calls the constructor new() to actually create an object. This allows type overriding […]

Continue Reading
Copyright all rights reserved | Theme: Minimal Blog by WPinterface.