Callbacks Vs Factory

Callbacks and factory both addresses different areas of reusability in UVM. Callback:  Add functionality to existing logic. Suitable for rare or minimal feature need to be enhanced in focus Popular for error injection/to corrupt the sequence from VIP. Easy to maintain callbacks Factory:  It used to substitute the existing component before build, keeps environment same. […]

Continue Reading

How UVM Callback works?

Callback mechanism is used for altering the behavior of the transactor/BFM without modifying the existing BFM/transactor. Callback gives flexibility to plug-and-play and reuse the components i.e. driver, monitor etc..  Sometimes requirements are often unpredictable when the BFM/transactor is first written. So a transactor should provide some kind of hooks for executing the code which is […]

Continue Reading
Copyright all rights reserved | Theme: Minimal Blog by WPinterface.