System Verilog

STATIC and AUTOMATIC Lifetime:

Static: For a variable static lifetime is, its memory never de-allocated until simulation ends. Automatic: For a variable Automatic lifetime […]